Full metadata record
DC FieldValueLanguage
dc.contributor.advisorGötze, Jürgen-
dc.contributor.authorSun, Chi-Chia-
dc.date.accessioned2011-04-28T11:36:05Z-
dc.date.available2011-04-28T11:36:05Z-
dc.date.issued2011-04-28-
dc.identifier.urihttp://hdl.handle.net/2003/27714-
dc.identifier.urihttp://dx.doi.org/10.17877/DE290R-553-
dc.description.abstractCircuit design becomes more and more complicated, especially when the Very Large Scale Integration (VLSI) manufacturing technology node keeps shrinking down to nanoscale level. New challenges come up such as an increasing gap between the design productivity and the Moore’s Law. Leakage power becomes a major factor of the power consumption and traditional shared bus transmission is the critical bottleneck in the billion transistors Multi-Processor System–on–Chip (MPSoC) designs. These issues lead us to discuss the impact on the design of iterative algorithms. This thesis presents several strategies that satisfy various design con- straints, which can be used to explore superior solutions for the circuit design of iterative algorithms. Four selected examples of iterative al- gorithms are elaborated in this respect: hardware implementation of COordinate Rotation DIgital Computer (CORDIC) processor for sig- nal processing, configurable DCT and integer transformations based CORDIC algorithm for image/video compression, parallel Jacobi Eigen- value Decomposition (EVD) method with arbitrary iterations for com- munication, and acceleration of parallel Sparse Matrix–Vector Multipli- cation (SMVM) operations based Network–on–Chip (NoC) for solving systems of linear equations. These four applications of iterative meth- ods have been chosen since they cover a wide area of current signal processing tasks. Each method has its own unique design criteria when it comes to the direct implementation on the circuit level. Therefore, a balanced solution between various design tradeoffs is elaborated for each method. These tradeoffs are between throughput and power consumption, com- putational complexity and transformation accuracy, the number of in- ner/outer iterations and energy consumption, data structure and net- work topology. It is shown that all of these algorithms can be imple- mented on FPGA devices or as ASICs efficiently.en
dc.language.isoende
dc.subjectCORDIC algorithmen
dc.subjectFPGAen
dc.subjectH.264en
dc.subjectIterative algorithmen
dc.subjectJacobi methoden
dc.subjectMPEG-4en
dc.subjectNoCen
dc.subjectParallel computingen
dc.subjectQDCTen
dc.subjectSMVMen
dc.subjectVLSIen
dc.subject.ddc620-
dc.titleVLSI design concepts for iterative algorithmsen
dc.typeTextde
dc.contributor.refereeKays, Rüdiger-
dc.date.accepted2011-04-11-
dc.type.publicationtypedoctoralThesisde
dcterms.accessRightsopen access-
Appears in Collections:Arbeitsgebiet Datentechnik

Files in This Item:
File Description SizeFormat 
dissertation.pdfDNB3.79 MBAdobe PDFView/Open


This item is protected by original copyright



This item is protected by original copyright rightsstatements.org